1 min read

Cortex-A76: Potencia de portátil con la eficiencia del móvil

ARM presenta la nueva microarquitectura Cortex-A76, la base que usarán los chipsets de gama alta de este año. Lo más importante: Será un 35% más potente que la actual generación y consumirá un 40% menos.

ARM sólo licencia estos diseños, que luego empresas como Qualcomm, Apple o Samsung se encargan de adaptar y completar con otros componentes, pero estos porcentajes son una buena indicación de lo que nos espera en smartphones este año y da pistas de que iniciativas como ARM para Windows van a verse reforzadas.

Extraído del blog de ARM:

Cortex-A76 representa la mejor solución para portátiles porque los aumentos de rendimiento permiten una entrega excepcional de las aplicaciones de productividad más importantes, como la suite de Microsoft Office, proporcionando una experiencia de usuario mucho más rápida y fluida. Se espera que las laptops basadas en Cortex-A76 ofrezcan el doble de rendimiento en la generación actual basada en ARM. Sería fácil de lograr este aumento si la autonomía no fuera una preocupación pero proporcionar la autonomía del móvil era aquí la clave. Al centrarnos en este delicado equilibrio, hemos superado la brecha de rendimiento sin comprometer la eficiencia, facilitando por primera vez la experiencia móvil de estar siempre conectado en un portátil. No solo obtiene una mejor experiencia de usuario, sino también una duración de la batería mucho más larga.

Estamos todavía en los inicios de la transición de X86 a ARM en ordandores convencionales pero de momento no veo a Intel centrada en frenar esta tendencia. Cada año, ARM se vuelve una alternativa más interesante.